Posts Tagged ‘lithography’

Tuesday, October 5th, 2010

Direct-write, maskless, lithography using e-beams is not ready for 22nm node IC manufacturing, and the SPIE BACUS presentations show EbDW issues include data transfer and inspection.

Monday, February 23rd, 2009

JEOL will install the first e-beam direct-write-on-wafer (EBDW) lithography tool to support nanotechnology development in the Pacific Northwest when the University of Washington takes delivery of a JBX-6300FS tool. The system will be installed in the state-funded Washington Technology Center Microfabrication Lab. Funding for the tool acquisition was provided through a state-supported STAR researchers’ grant […]

Monday, January 26th, 2009

CEA/Leti, along with e-beam lithography supplier Vistec, and new design and software company D2S, recently announced a collaboration focused on refining and validating advanced design-for-e-beam (DFEB) solutions for 45nm and 32nm nodes. Over the next 12 months, Leti will manufacture test chips using a combination of D2S’ design and software capabilities along with the latest […]

Thursday, January 22nd, 2009

At SEMICON Korea 2009, SUSS MicroTec unveiled the second generation of its ACS300, a modular system for coating, baking and developing of wafers up to 300mm. Compared to the prior generation, the Gen2 tool reportedly costs less, has reduced footprint, and offers configuration flexibility with new capabilities. The system architecture and process modules are specifically […]

Tuesday, January 13th, 2009

At SEMI’s Industry Strategy Symposium (ISS) running at Half Moon Bay, California today, IMEC president and CEO Gilbert Declerck talked about the need for R&D to facilitate IC industry growth. An industry based on answering the question, “what have you done for me lately?” can never rest on past successes and must continue to innovate. […]