Archive for the ‘Material’ Category

Monday, July 25th, 2011

Innovalight specialty PV materials company gets acquired by DuPont after successful integration of its silicon-ink screen-printed selective emitter (SE) into mulitple customer crystalline-silicon production lines.

Tuesday, April 5th, 2011

Prof. Masato Shibuya was awarded the JSAP Takuma Award 2011 for invention of the phase-shift mask (PSM) and opening the field of advanced lithography, as reported by independent PSM inventor Marc Levenson.

Monday, March 21st, 2011

SPIE Advanced Lithography 2011 showed few new tools or techniques, but many new materials and integration tricks to extend 193i into double-patterning for IC HVM, while EUV and DSA developments continue according to expert Dr. M. David Levenson of BetaSights.

Friday, January 7th, 2011

IEDM 2010 showed evolutions of NAND Flash with ALD IPD and ECC to 1Xnm node processing, and embedded-DRAM (eDRAM) capacitor stacks in porous low-k, meaning mainstream memory technologies will continue to dominate commercial volumes.

Tuesday, December 21st, 2010

Graphene—the 2D hexagonal lattice of carbon—has been under investigation as a new material for electronics applications due to it’s high mobility and other unique properties. At IEDM this year, an entire session (#23) was devoted to showcasing graphene devices, and to sharing the latest processing tricks to grow and (sometimes) transfer the single-atomic-layer of carbon […]

Tuesday, December 14th, 2010

Through-silicon vias (TSV) by IBM and Semtech for ADC/DSP solutions use copper and deep-trench capacitors for RF applications; IEDM 2010 papers show TSV in active chips progressing slowly.

Friday, November 26th, 2010

Qcept Technologies’ ChemitriQ5000 may help GlobalFoundries vs. TSMC in gate-first HKMG integration for 32nm foundry customers with fab metrology for control of yield excursions

Monday, October 18th, 2010

IEDM 2010 best hints at 22nm node fab tech alternate-channel materials, dual- and tri-gate transistors, and RF, MEMS, lab-on-chip, graphene, analog, memory ReRAM results.

Tuesday, October 5th, 2010

Direct-write, maskless, lithography using e-beams is not ready for 22nm node IC manufacturing, and the SPIE BACUS presentations show EbDW issues include data transfer and inspection.

Thursday, September 23rd, 2010

TSV for 3D integration of heterogeneous ICs used in interposers first, as shown at SEMICON/West, IMAPS, IEDM and companies like ASE, Alchimer, Suss, EVG, Novellus, Vertical Circuits, and IBM.