Posts Tagged ‘CMP’

Tuesday, December 1st, 2009

Based on proven hardware sub-systems from previous models, Applied Materials has released a new chemical-mechanical planarization (CMP) tool that processes two 300mm diameter wafers simultaneously on each of two plattens. Initially targeting copper interconnect formation for memory ICs, the Reflexion GT tool has passed betasite tests at multiple customers, and reportedly provides 60% higher throughput […]

Tuesday, April 7th, 2009

Semiconductor Equipment and Materials International (SEMI) collects and reports market size information for key sectors of the semiconductor ecosystem. It recently issued figures for the materials market, which in 2008 totaled $42.7 billion, essentially flat with the prior year. According to SEMI, rapidly slowing economic conditions in the fourth quarter squelched prospects for year-over-year growth […]

Thursday, March 19th, 2009

Mentor Graphics has announced new capabilities to the Calibre(R) platform to allow designers to control thickness variability due to Chemical Mechanical Planarization (CMP) at advanced process nodes. Designers can transition from dummy fill to density-based fill, or to full model-based fill, depending on the demands of their designs and target manufacturing process. The new capability […]

Tuesday, March 17th, 2009

Breaking news about a leading porous low-k (PLK) material from Japan was first revealed in the SemiNeedle Planarization Lounge Forums (www.semineedle.com/forums/5001) about two months ago. During an expert panel discussion on CMP integration with low-k materials (moderated by this editor, summarized in “Chemical-Mechanical Planarization (CMP) technology consensus 09Q1” publication available at the site), Dick James, […]

Wednesday, March 11th, 2009

Nanometrics today announced the release of Version 2.0 of its NanoCD Suite of solutions for optical critical dimension (OCD) metrology, just one year after V1 was released. OCD (a.k.a., “scatterometry”) has been used to successfully control fab processes for many years. The major known limitation of the technique is model building from reference metrology data, […]

Tuesday, February 10th, 2009

CMP applications experts gathered in Santa Clara, California on February 10th to share their experience and expertise at the fifth annual seminar sponsored by Levitronix. Leading developers, manufacturers, and end-users of CMP discussed all aspects of the technology, and since Levitronix makes magnetic levitation (MagLev) pumps there were many thorough presentations on slurry distribution issues. […]

Thursday, January 15th, 2009

As SEMI’s SMC today, Raymond Roberge, SVP and CTO of Praxair Electronics, proposed a pragmatic new collaboration model for electronic materials R&D. Semiconductor fab process materials TAM for 2008 was ~$10B, yet materials suppliers now question their ongoing profitability. “Certainly the 300mm shift has resulted in productivity advances for IDMs at the expense of materials […]