Archive for the ‘MEMS’ Category

Wednesday, June 15th, 2011

Ultra-pure steam can improve the ultimate efficiency of PV cells by removing contaminants, as quantified by Fraunhofer ISE using Rasirc Steamer sub-systems.

Tuesday, April 5th, 2011

Prof. Masato Shibuya was awarded the JSAP Takuma Award 2011 for invention of the phase-shift mask (PSM) and opening the field of advanced lithography, as reported by independent PSM inventor Marc Levenson.

Monday, March 21st, 2011

SPIE Advanced Lithography 2011 showed few new tools or techniques, but many new materials and integration tricks to extend 193i into double-patterning for IC HVM, while EUV and DSA developments continue according to expert Dr. M. David Levenson of BetaSights.

Friday, February 25th, 2011

EV Group upgrades aligner platform with optics for transparent wafers like sapphire to create 200wph proximity aligner for high-brightness LED (HB-LED) high-volume manufacturing (HVM): EVG620HBL

Monday, October 18th, 2010

IEDM 2010 best hints at 22nm node fab tech alternate-channel materials, dual- and tri-gate transistors, and RF, MEMS, lab-on-chip, graphene, analog, memory ReRAM results.

Thursday, September 23rd, 2010

TSV for 3D integration of heterogeneous ICs used in interposers first, as shown at SEMICON/West, IMAPS, IEDM and companies like ASE, Alchimer, Suss, EVG, Novellus, Vertical Circuits, and IBM.

Thursday, August 5th, 2010

SEMICON/West 2010 lithography changes were slight, TEL showed quadruple-patterning with ALD sidewall spacers and tools, Nikon and ASML with IMEC also showed double-patterning

Monday, July 19th, 2010

Soft plasmas for monolayer etching by Ed Korczynski at NCCAVS PAG meeting at SEMICON/West 2010, including TEL Tactras RLSA and AMAT AdvantEdge Mesa for HKMG 32nm, STI, and bWL etches.

Monday, July 5th, 2010

ALD/CVD systems for new materials R&D by Altatech Semiconductor sold to Fraunhofer IZM ASSID and ENAS for 3DIC and high mobility research using liquid injection of precursors.

Monday, April 5th, 2010

The 2010 SPIE Advanced Lithography conference is where we first get glimpses of the future of nano-scale patterning technology for manufacturing. Sometimes, many fuzzy blobs come into focus as a picture in a single moment, and Yan Borodovsky of Intel showed how to do 22nm node litho the day before SPIE officially started. At both […]