Archive for the ‘Equipment’ Category

Tuesday, July 7th, 2009

Applied Materials has extended physical vapor deposition (PVD) technology to be able to coat the sidewalls of 22nm node structures. “It’s been validated, it’s been shipped, and it’s been qualified in pilot lines for both logic and memory,” asserted Marek Radko, Applied Materials’ BEOL GPM Manager, in an exclusive interview with BetaSights. Separately, the company […]

Friday, May 1st, 2009

Leaving California for the first time, the 12th annual IEEE International Interconnect Technology Conference (IITC) will take place in Sapporo, Japan, June 1-3. With lithographic shrinks in 2D dimensions slowing, interconnects between chips in packages and in 3D stacks will be the driver for increased density and functionality in ICs. Thus, the more than 80 […]

Thursday, April 9th, 2009

On April 7, 2009, KLA-Tencor introduced the TeraScanXR, the latest version of their TeraScan reticle inspection system, this one intended for 32nm node DUV masks. This new tool, an extension of existing reticle inspection systems, is designed to provide mask manufacturers better sensitivity, lower cost-per-inspection and faster mask dispositioning. Improvements in overall sensitivity in die-to-die […]

Thursday, April 2nd, 2009

The control of complex interdependencies is critical for the successful manufacturing of nanometer-scale ICs. Every aspect of every unit process step in the line must be ever more tightly controlled to ensure that 45nm and 32nm node chips can be made with good yield. To serve the market, Novellus continues to announce new integrated surface-treatment […]

Tuesday, March 31st, 2009

Semilab, founded in 1990 and headquartered in Budapest, Hungary, is spending cash to continue to expand its portfolio of fab metrology offerings. Today, the company announced it has acquired Advanced Metrology Systems (AMS) and QC Solutions. The two Massachusetts-based metrology companies expand Semilab’s family of scalable, flexible solutions to help semiconductor and solar manufacturers characterize […]

Tuesday, March 24th, 2009

It is always sunrise somewhere on our spinning sphere, and the sun is always changing too (see figure). There are always ups and downs in our world, and we learn to “make hay while the sun shines.” Where has the sun been shining lately? Photovoltaic (PV) and MEMS industries have continued to grow lately, as […]

Monday, March 23rd, 2009

Keithley Instruments has been very busy extending the capabilities of it’s 4200-SCS (Semiconductor Characterization System) with new cables for IC measurements and new software libraries for PV, OLED, and other devices. The 4200-SCS replaces a variety of electrical test tools with a single integrated characterization solution, and works for applications including semiconductor technology development, process […]

Friday, March 20th, 2009

Novellus’ applications labs have been working on CVD low-k dielectrics targeting 32nm node multilevel metal specs, and the result is “dense” ultra-low-k (ULK) film with bulk k=2.5 and the potential to go lower. Combined with the company’s multi-station sequential processing (MSSP) tool architecture for the barrier/cap depositions and UV/thermal cure steps, the result is a […]

Thursday, March 12th, 2009

Stangl Semiconductor Equipment has launched its Linea horizontal inline wet process platform for cleaning and etching of crystalline silicon PV wafers. The fully-automated dry-in/dry-out system offers reliable production capacity of up to 3,400 wafers per hour (wph) corresponding to an estimated 60 MW per line running 156mm wafers. The system can also be configured at […]

Wednesday, March 11th, 2009

Nanometrics today announced the release of Version 2.0 of its NanoCD Suite of solutions for optical critical dimension (OCD) metrology, just one year after V1 was released. OCD (a.k.a., “scatterometry”) has been used to successfully control fab processes for many years. The major known limitation of the technique is model building from reference metrology data, […]